Verilog 问答列表

EDA Playground EP$dumpfile错误:未找到VCD文件

作者:efe373 提问时间:1/18/2021

我正在尝试在 EDA Playground 中模拟我的设计。我使用 ModelSim(不是来自 EDA)在本地计算机中测试了我的设计文件和测试台文件,并且成功了。但是,我尝试对 EDA Playgro...

为什么输出处于未知状态?

作者: 提问时间:10/26/2021

我想使用具有异步复位的 dff 制作串行比较器,并基于连续分配。但是输出将处于未知 (x) 状态,我不知道为什么。我检查了每根电线,并为每根电线分配了一个表达式 DFF 代码 (Verilog): ...

SVA中的门级时序检查

作者:yildizabdullah 提问时间:4/6/2022

我需要在时钟边沿出现一定时间后检查信号值。例如,我想检查信号 b 是否在正边时钟发生后置位至高 1ps。 SVA 是否为此提供了语法?...

无法使用 verilog 生成多个脉冲输出?

作者:Deekshith Ranga Babu Tirumala 提问时间:11/15/2023

我正在尝试制作一个电路来产生不同脉冲的输出。输出脉冲在给定时间段内的给定值时间应具有高电平。信号需要在给定的重复输入次数内重复。我正在使用 intermedaite 变量计数器,并基于它更新输出。但是...

对于 ICE40 FPGA 模块 ram,读取模式 2 和 3 似乎忽略了它们特有的最高地址线

作者:Andreas Stocker 提问时间:11/12/2023

module TinyFPGA_B ( input CLK, output LED ); SB_RAM40_4K ram40_4kinst_physical ( .RDATA(LED), ...

如何修复此foreach循环变量语法错误?

作者:Ankit Kaushik 提问时间:11/12/2023

我正在尝试在SystemVerilog中使用。我在这里做错了什么?foreach `define size 3:0 //variable module tb; reg [7:0] temp; ...

SystemVerilog 延迟中断

作者:Du mmyTransistor 提问时间:11/9/2023

我有一个问题,希望有人能帮助我。 在systemVerilog中,我有一个输入“en”和一个输出信号“en_delayed”。信号不一定是端口,它们可以是内部变量。 我想在上升和下降时对信号应用不...

(System)Verilog 中不应发生的 case 语句默认值中的适当断言 [已关闭]

作者:P2000 提问时间:11/13/2023

已关闭。这个问题是基于意见的。它目前不接受答案。 想改进这个问题吗?更新问题,以便可以通过编辑这篇文章来用事实和引文来回答。 11天前关闭。 这篇文章在11天前被编辑并提交审核,但未能重新打开帖...

如何在SystemVerilog中中断未完成的延迟

作者:Du mmyTransistor 提问时间:11/14/2023

我想使用以下代码对信号应用不同的上升和下降延迟: timeunit 1ns; timeprecision 1ps; parameter real delay_en_rising_us = 10...

带 axi 接口的绝对值

作者:Parsa 提问时间:11/17/2023

我有一个干涉仪波,想纠正它。 代码未正确执行绝对值。 这段代码有什么问题? `timescale 1ns / 1ps module abs( input clk, output [15:0]...


共31条 当前第1页